aboutsummaryrefslogtreecommitdiffstats
path: root/tests/filters/capitalize-stdin.sh
blob: c9f4719d109961c5b4bd0740719e61646887dc20 (plain)
1
2
3
#!/bin/sh

tr '[:lower:]' '[:upper:]'