summaryrefslogtreecommitdiffstats
path: root/docs/_sidebar.md
diff options
context:
space:
mode:
Diffstat (limited to 'docs/_sidebar.md')
-rw-r--r--docs/_sidebar.md98
1 files changed, 98 insertions, 0 deletions
diff --git a/docs/_sidebar.md b/docs/_sidebar.md
new file mode 100644
index 000000000..318c526a8
--- /dev/null
+++ b/docs/_sidebar.md
@@ -0,0 +1,98 @@
+* [Getting Started](README.md)
+ * [QMK Introduction](getting_started_introduction.md)
+ * [Install Build Tools](getting_started_build_tools.md)
+ * Alternative: [Vagrant Guide](getting_started_vagrant.md)
+ * [Build/Compile Instructions](getting_started_make_guide.md)
+ * [Flashing Firmware](flashing.md)
+ * [Contributing to QMK](contributing.md)
+ * [How to Use Github](getting_started_github.md)
+ * [Getting Help](getting_started_getting_help.md)
+
+* [Complete Newbs Guide](newbs.md)
+ * [Getting Started](newbs_getting_started.md)
+ * [Building Your First Firmware](newbs_building_firmware.md)
+ * [Flashing Firmware](newbs_flashing.md)
+ * [Testing and Debugging](newbs_testing_debugging.md)
+
+* [FAQ](faq.md)
+ * [General FAQ](faq_general.md)
+ * [Build/Compile QMK](faq_build.md)
+ * [Debugging/Troubleshooting QMK](faq_debug.md)
+ * [Keymap](faq_keymap.md)
+
+* [Hardware](hardware.md)
+ * [Keyboard Guidelines](hardware_keyboard_guidelines.md)
+ * [AVR Processors](hardware_avr.md)
+ * ARM Processors (TBD)
+ * [Drivers](hardware_drivers.md)
+
+* [Features](features.md)
+ * [Advanced Keycodes](feature_advanced_keycodes.md)
+ * [Audio](feature_audio.md)
+ * [Auto Shift](feature_auto_shift.md)
+ * [Backlight](feature_backlight.md)
+ * [Bootmagic](feature_bootmagic.md)
+ * [Command](feature_command.md)
+ * [Dynamic Macros](feature_dynamic_macros.md)
+ * [Grave Escape](feature_grave_esc.md)
+ * [Key Lock](feature_key_lock.md)
+ * [Layouts](feature_layouts.md)
+ * [Leader Key](feature_leader_key.md)
+ * [Macros](feature_macros.md)
+ * [Mouse Keys](feature_mouse_keys.md)
+ * [Pointing Device](feature_pointing_device.md)
+ * [PS/2 Mouse](feature_ps2_mouse.md)
+ * [RGB Lighting](feature_rgblight.md)
+ * [Space Cadet](feature_space_cadet.md)
+ * [Stenography](feature_stenography.md)
+ * [Swap Hands](feature_swap_hands.md)
+ * [Tap Dance](feature_tap_dance.md)
+ * [Terminal](feature_terminal.md)
+ * [Thermal Printer](feature_thermal_printer.md)
+ * [Unicode](feature_unicode.md)
+ * [Userspace](feature_userspace.md)
+
+* [Keycodes](keycodes.md)
+ * [Backlight](feature_backlight.md#backlight-keycodes)
+ * [Basic](keycodes_basic.md)
+ * [Bluetooth](feature_bluetooth.md#bluetooth-keycodes)
+ * [Bootmagic](feature_bootmagic.md#bootmagic-keycodes)
+ * [Layer Switching](feature_advanced_keycodes.md#switching-and-toggling-layers)
+ * [Mod+Key](feature_advanced_keycodes.md#modifier-keys)
+ * [Mod Tap](feature_advanced_keycodes.md#mod-tap)
+ * [One Shot Keys](feature_advanced_keycodes.md#one-shot-keys)
+ * [Quantum](quantum_keycodes.md)
+ * [RGB Light](feature_rgblight.md#rgblight-keycodes)
+ * [Shifted Keys](feature_advanced_keycodes.md#shifted-keycodes)
+ * [Stenography](feature_stenography.md#keycode-reference)
+ * [Thermal Printer](feature_thermal_printer.md#thermal-printer-keycodes)
+ * [US ANSI Shifted Keys](keycodes_us_ansi_shifted.md)
+
+* Reference
+ * [Config Options](config_options.md)
+ * [Customizing Functionality](custom_quantum_functions.md)
+ * [Documentation Best Practices](documentation_best_practices.md)
+ * [Documentation Templates](documentation_templates.md)
+ * [Glossary](reference_glossary.md)
+ * [Keymap Overview](keymap.md)
+ * [Unit Testing](unit_testing.md)
+
+* For Makers and Modders
+ * [Hand Wiring Guide](hand_wire.md)
+ * [ISP Flashing Guide](isp_flashing_guide.md)
+
+* For a Deeper Understanding
+ * [How Keyboards Work](how_keyboards_work.md)
+ * [Understanding QMK](understanding_qmk.md)
+
+* Other Topics
+ * [Using Eclipse with QMK](eclipse.md)
+
+* QMK Internals (In Progress)
+ * [Defines](internals_defines.md)
+ * [Input Callback Reg](internals_input_callback_reg.md)
+ * [Midi Device](internals_midi_device.md)
+ * [Midi Device Setup Process](internals_midi_device_setup_process.md)
+ * [Midi Util](internals_midi_util.md)
+ * [Send Functions](internals_send_functions.md)
+ * [Sysex Tools](internals_sysex_tools.md)