summaryrefslogtreecommitdiffstats
path: root/vim/vim-7.2/syntax/sdc.vim
diff options
context:
space:
mode:
authorFlorian Pritz <bluewind@xssn.at>2011-07-24 12:07:51 +0200
committerFlorian Pritz <bluewind@xssn.at>2011-07-24 12:07:51 +0200
commitb8c33ee22e78f48f52bfde7328d20efe73493149 (patch)
treeaf5a3a56a5094facb1c00472c0b1a3be7478eca2 /vim/vim-7.2/syntax/sdc.vim
parent9c7f68c9ab1ed52a5c4c2cec57c71b15d2209f52 (diff)
parent34e8f8d7edc734b88753ff7cb0443f8489b02ee8 (diff)
downloadaur-packages-b8c33ee22e78f48f52bfde7328d20efe73493149.tar.gz
aur-packages-b8c33ee22e78f48f52bfde7328d20efe73493149.tar.xz
Merge branch 'master' of git://git.server-speed.net/~flo/git/aur
Diffstat (limited to 'vim/vim-7.2/syntax/sdc.vim')
-rw-r--r--vim/vim-7.2/syntax/sdc.vim41
1 files changed, 0 insertions, 41 deletions
diff --git a/vim/vim-7.2/syntax/sdc.vim b/vim/vim-7.2/syntax/sdc.vim
deleted file mode 100644
index 0ca9bec..0000000
--- a/vim/vim-7.2/syntax/sdc.vim
+++ /dev/null
@@ -1,41 +0,0 @@
-" Vim syntax file
-" Language: SDC - Synopsys Design Constraints
-" Maintainer: Maurizio Tranchero - maurizio.tranchero@gmail.com
-" Last Change: Thu Mar 25 17:35:16 CET 2009
-" Credits: based on TCL Vim syntax file
-" Version: 0.3
-
-" Quit when a syntax file was already loaded
-if exists("b:current_syntax")
- finish
-endif
-
-" Read the TCL syntax to start with
-runtime! syntax/tcl.vim
-
-" SDC-specific keywords
-syn keyword sdcCollections foreach_in_collection
-syn keyword sdcObjectsQuery get_clocks get_ports
-syn keyword sdcObjectsInfo get_point_info get_node_info get_path_info
-syn keyword sdcObjectsInfo get_timing_paths set_attribute
-syn keyword sdcConstraints set_false_path
-syn keyword sdcNonIdealities set_min_delay set_max_delay
-syn keyword sdcNonIdealities set_input_delay set_output_delay
-syn keyword sdcNonIdealities set_load set_min_capacitance set_max_capacitance
-syn keyword sdcCreateOperations create_clock create_timing_netlist update_timing_netlist
-
-" command flags highlighting
-syn match sdcFlags "[[:space:]]-[[:alpha:]]*\>"
-
-" Define the default highlighting.
-hi def link sdcCollections Repeat
-hi def link sdcObjectsInfo Operator
-hi def link sdcCreateOperations Operator
-hi def link sdcObjectsQuery Operator
-hi def link sdcConstraints Operator
-hi def link sdcNonIdealities Operator
-hi def link sdcFlags Special
-
-let b:current_syntax = "sdc"
-
-" vim: ts=8