summaryrefslogtreecommitdiffstats
path: root/application/libraries/geshi/verilog.php
diff options
context:
space:
mode:
authorFlorian Pritz <bluewind@xinu.at>2012-02-14 01:17:10 +0100
committerFlorian Pritz <bluewind@xinu.at>2012-02-14 01:17:10 +0100
commita635c931ff8aa79728d93a4835e06a75e8f90be8 (patch)
treeec99bc646bca4cd8d37e58378d07c1ebd1f0499f /application/libraries/geshi/verilog.php
parent798a4824a2aa099de9eb05454ebb62b1522bee65 (diff)
bump geshi to 1.0.8.10
Signed-off-by: Florian Pritz <bluewind@xinu.at>
Diffstat (limited to 'application/libraries/geshi/verilog.php')
-rw-r--r--application/libraries/geshi/verilog.php2
1 files changed, 1 insertions, 1 deletions
diff --git a/application/libraries/geshi/verilog.php b/application/libraries/geshi/verilog.php
index 6fc469487..9e4211eb9 100644
--- a/application/libraries/geshi/verilog.php
+++ b/application/libraries/geshi/verilog.php
@@ -4,7 +4,7 @@
* -----------
* Author: G�nter Dannoritzer <dannoritzer@web.de>
* Copyright: (C) 2008 Guenter Dannoritzer
- * Release Version: 1.0.8.6
+ * Release Version: 1.0.8.10
* Date Started: 2008/05/28
*
* Verilog language file for GeSHi.